広告
*Verilogシミュレータ [#t700ecea]
-インストール
--UbuntuやDebianでは「iverilog」
-波形表示「gtkwave」をインストール
--UbuntuやDebianでは「gtkwave」

 iverilog -o [出力ファイル名] -s [トップモジュール名] [Verilog-HDL ファイル1] [Verilog-HDL ファイル2] […]

できた出力ファイルを実行すると「〇〇.vcd」というファイルができるのでこれを「gtkwave」で表示する
 gtkwave **.vcd
**テストベンチ [#aea93a8a]
-[[Verilog-HDL の基本:http://cas.eedept.kobe-u.ac.jp/~arai/Verilog/chap4.html]]


広告

リロード   差分   ホーム 一覧 検索 最終更新 バックアップ リンク元   ヘルプ   最終更新のRSS