ペンギンの杜

Linuxソフト集

  • Top
  • soft
  • Verilator
広告

Verilator - - 高速、高機能なVerilog HDLのシミュレータ

メインページ http://www.veripool.org/wiki/verilator
参考ページ1 http://lexim.co.jp/verilator.html
参考ページ2 http://blog.goo.ne.jp/ip-arch/e/71c7ad83b9e1a4034dde879b6e1c19df
概要 高速、高機能なVerilog HDLのシミュレータ
カテゴリ [研究・開発・設計/FPGA・CPLD ]
関連ソフト --
検索 VerilatorをGoogleで検索
ライセンス フリー
Debianパッケージ verilator
Ubuntuパッケージ verilator
RPMパッケージ verilator
FreeBSD Ports 検索 ,
あればパッケージ登録→
登録日 2009-08-28

説明

高速、高機能なVerilog HDLのシミュレータ


コメント

広告