Top > Verilog > IcarusVerilog

広告

Verilogシミュレータ

  • インストール
    • UbuntuやDebianでは「iverilog」
  • 波形表示「gtkwave」をインストール
    • UbuntuやDebianでは「gtkwave」
iverilog -o [出力ファイル名] -s [トップモジュール名] [Verilog-HDL ファイル1] [Verilog-HDL ファイル2] […]

テストベンチを作ってその中の「$dumpfile(〇〇.vcd)」でダンプファイルを指定した場合,コンパイルしてできた出力ファイルを実行すると「〇〇.vcd」というファイルができるのでこれを「gtkwave」で表示する

gtkwave **.vcd

テストベンチ


広告

リロード   差分   ホーム 一覧 検索 最終更新 バックアップ リンク元   ヘルプ   最終更新のRSS
Last-modified: Wed, 23 Jan 2019 18:26:32 JST (1892d)